0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看威廉希尔官方网站 视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技Fusion威廉希尔官方网站 助力三星7LPP EUV工艺降低功耗、缩小面积并提高性能

章鹰观察 来源:电子发烧友 作者:厂商供稿 2018-07-05 14:15 次阅读

全球第一大芯片自动化设计解决方案提供商及全球第一大芯片接口IP供应商、信息安全和软件质量的全球领导者新思科技(Synopsys, Inc.,纳斯达克股票市场代码: SNPS )近日宣布,新思科技Design Platform Fusion 威廉希尔官方网站 已通过三星认证,可应用于其7纳米(nm)低功耗+(LPP-Low Power Plus)工艺的极紫外(EUV)光刻威廉希尔官方网站 。新思科技Design Platform为基于EUV单次曝光布线和连排打孔提供完备的全流程7LPP支持,以确保最大程度地实现设计的可布线性和利用率,同时最大限度地降低电压降(IR-drop)。新思科技的SiliconSmart® 库表征工具对于研发在该认证工艺上建立参考流程所使用的基础IP非常关键。三星已经认证了新思科技 Design Platform工具和参考流程,该流程与Lynx Design System兼容,配备用于自动化和设计最佳实践的脚本。该参考流程可通过三星Advanced Foundry Ecosystem (SAFE™) 计划获得。

三星电子代工市场营销团队副总裁Ryan Sanghyun Lee表示:“通过与新思科技的深入合作,我们7LPP工艺上的认证和参考流程将为我们共同的客户在设计上实现最低功耗、最佳性能和最优面积。使用经过验证并集成了Fusion威廉希尔官方网站 的新思科技 Design Platform,我们的代工客户可以放心地使用新思科技最先进的EUV工艺量产他们的设计。”

新思科技设计事业部营销与商务开发副总裁Michael Jackson表示:“我们与三星的工具和参考流程合作重点在于使设计人员能够使用三星最新的EUV 7LPP工艺在最高可信度下获得最佳结果质量。采用集成了Fusion威廉希尔官方网站 的新思科技Design Platform,可扩展7LPP参考流程将使设计人员能够轻松实现他们期望的设计和时间目标。”

基于ARMv8架构的64位Arm Cortex-A53处理器被用于结果质量(QoR)优化和流程认证。新思科技Design Platform 7LPP参考流程的关键工具和功能包括:

IC Compiler II布局和布线:基于EUV单次曝光的布线具备优化的7LPP设计规则支持,以及连排打孔以确保最大的设计可布线性和利用率,同时最大限度地减少电压降。

Design Compiler Graphical RTL综合:与布局布线结果的相关性,拥塞减少,优化的7LPP设计规则支持以及向IC Compiler II提供物理指导 。

IC Validator物理signoff:高性能DRC signoff,LVS感知型短路查找器、signoff填充、模式匹配和独特的采用Explorer威廉希尔官方网站 的Dirty Data分析,以及带有DRC自动修复的设计内验证和在IC Compiler II中的准确感知时序的金属填充。

PrimeTime时序signoff:近阈值超低电压变化建模,过孔变化建模和感知布局规则的工程变更指令(ECO)指导。

StarRC™寄生参数提取:EUV基于单次曝光模式的布线支持,以及新的提取威廉希尔官方网站 ,如基于覆盖率的过孔电阻

RedHawk™Analysis Fusion:ANSYS® RedHawk™驱动的在IC Compiler II中的EM/IR分析和优化,包括过孔插入和电网增幅。

DFTMAX™和TetraMAX® II测试:基于FinFET、单元感知和基于时序裕量的转换测试以获得更高的测试质量。

Formality®形式验证:基于UPF、带状态转换验证的等价性检查。

目前可通过SAFE™计划获得与新思科技Lynx Design System兼容并经认证的可扩展参考流程。Lynx Design System是一个全芯片设计环境,包含创新的自动化和报告功能,可帮助设计人员实施和监控其设计。它包括一个生产化RTL-to-GDSII流程,可简化和自动化许多关键的设计实现和验证任务,使工程师能够专注于实现性能和设计目标。SAFE™计划提供由三星认证支持并经广泛测试的工艺设计套件(PDK)和参考流程(与设计方法)。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
收藏 人收藏

    评论

    相关推荐

    鸿蒙原生页面高性能解决方案上线OpenHarmony社区 助力打造高性能原生应用

    随着HarmonyOS NEXT的正式推出,鸿蒙原生应用开发热度高涨,数量激增。但在方应用鸿蒙化进程中,性能问题频出。为此,HarmonyOS NEXT推出了一整套原生页面高性能解决方案,包括
    发表于 01-02 18:00

    二极管实现高电压和大电流转换的同时降低功耗并提高可靠性

    IGBT和1200V D3 EliteSiC二极管,实现高电压和大电流转换的同时降低功耗并提高可靠性。FS7 IGBT关断损耗低,可将开关损耗降低达8%,而EliteSiC二极管则提供
    的头像 发表于 08-29 15:09 326次阅读
    二极管实现高电压和大电流转换的同时<b class='flag-5'>降低功耗</b><b class='flag-5'>并提高</b>可靠性

    三星电机向AMD供应高性能FCBGA基板

    在全球数字化转型的浪潮中,超大规模数据中心作为支撑云计算、大数据及人工智能等关键威廉希尔官方网站 的基石,正以前所未有的速度发展。为了满足这一领域对更高性能、更低延迟及更高可靠性的需求,三星电子旗下的三星
    的头像 发表于 07-22 15:47 571次阅读

    概伦电子NanoSpice通过三星代工厂3/4nm工艺威廉希尔官方网站 认证

    概伦电子(股票代码:688206.SH)近日宣布其新一代大容量、高性能并行SPICE仿真器NanoSpice通过三星代工厂3/4nm工艺威廉希尔官方网站 认证,满足双方共同客户对高精度、大容量和高性能
    的头像 发表于 06-26 09:49 661次阅读

    三星展望2027年:1.4nm工艺与先进供电威廉希尔官方网站 登场

    在半导体威廉希尔官方网站 的竞技场上,三星正全力冲刺,准备在2027年推出一系列令人瞩目的创新。近日,三星晶圆代工部门在三星代工论坛上公布了其未来几年的威廉希尔官方网站
    的头像 发表于 06-21 09:30 417次阅读

    三星与新思科技携手,备战2nm工艺量产

    在全球半导体行业迈向更高精度和更小尺寸的征途上,三星与新思科技近日宣布了一项重要的合作。这一合作旨在确保三星的2nm制造工艺能够顺利实现量产,并在市场中占据领先地位。
    的头像 发表于 06-20 09:22 513次阅读

    三星公布最新工艺路线图

    : 1. **新节点和威廉希尔官方网站 进展**:三星宣布了两个新的尖端节点——SF2Z 和 SF4U。SF2Z 是一种2nm工艺,采用背面电源输送网络(BSPDN)威廉希尔官方网站 ,这种
    的头像 发表于 06-17 15:33 412次阅读
    <b class='flag-5'>三星</b>公布最新<b class='flag-5'>工艺</b>路线图

    三星加强半导体封装威廉希尔官方网站 联盟,以缩小与台积电差距

    据最新报道,三星电子正积极加强其在半导体封装威廉希尔官方网站 领域的联盟建设,旨在缩小与全球半导体制造巨头台积电之间的威廉希尔官方网站 差距。为实现这一目标,三星预计将
    的头像 发表于 06-11 09:32 552次阅读

    三星电子采纳新思科技Synopsys.ai EDA套件,完成GAA制程验证

    据新思科技介绍,他们的 Synopsys.ai EDA 套件专为 CPU 高效运行而设计,为三星的 GAA 节点带来了卓越的 PPA(性能功耗
    的头像 发表于 05-06 11:23 395次阅读

    低功耗 高性能M0芯片亮点(1~3): 低功耗 宽电压 PWM (无须担心耗电问题!)

    低功耗高性能M0芯片亮点(1~3): 低功耗, 宽电压, PWM [url=https://www.bilibili.com/video/BV18K421v7Bw/][/url]
    发表于 03-15 16:53

    思科技携手英特尔加速Intel 18A工艺高性能芯片设计

    思科技数字和模拟 EDA 流程经过认证和优化,针对Intel 18A工艺实现功耗性能面积目标
    的头像 发表于 03-05 17:23 548次阅读

    思科技VC LP Advanced静态低功耗验证解决方案

    对于当今的移动高性能系统而言,低功耗设计至关重要。低功耗设计能够延长电池续航时间、降低能源成本,为消费者打造更出色的无缝体验,具有更好的可持续性。
    的头像 发表于 02-22 09:56 861次阅读
    新<b class='flag-5'>思科</b>技VC LP Advanced静态<b class='flag-5'>低功耗</b>验证解决方案

    三星与Arm携手,运用GAA工艺威廉希尔官方网站 提升下一代Cortex-X CPU性能

    三星继续推进工艺威廉希尔官方网站 的进步,近年来首次量产了基于2022年GAA威廉希尔官方网站 的3nm MBCFET ™ 。GAA威廉希尔官方网站 不仅能够大幅减小设备尺寸,降低
    的头像 发表于 02-22 09:36 697次阅读

    三星第二代3nm工艺开始试产!

    据报道,三星预计在未来6个月时间内,让SF3的工艺良率提高到60%以上。三星SF3工艺会率先应用到可穿戴设备处理器上,
    的头像 发表于 01-29 15:52 672次阅读

    三星Exynos 2400芯片采用FOWLP威廉希尔官方网站 提高性能与散热能力

    据最新消息,三星的Exynos 2400芯片将采用扇出式晶圆级封装(FOWLP)威廉希尔官方网站 。这种封装威廉希尔官方网站 使得Exynos 2400在性能和散热能力方面有了显著提升。
    的头像 发表于 01-22 16:07 1046次阅读