完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
怀疑你的hex路径有问题,需要保存在工程根目录才能被识别
|
|
|
|
|
|
|
|
涉及到一些细节问题,可能是你的文件格式问题,或者安装的软件问题;
像ram,rom这样的,可以采用代码映射的模块,不如直接用verilog,这样描述问题更清晰。如: module rom #(parameter DATA_WIDTH=8, parameter ADDR_WIDTH=9) ( input [(ADDR_WIDTH-1):0] addr, input clk, output reg [(DATA_WIDTH-1):0] q ); reg [DATA_WIDTH-1:0] rom[2**ADDR_WIDTH-1:0]; initial begin $readmemb("rom_init_binary.txt", rom); end always @ (posedge clk) begin q <= rom[addr]; end endmodule 数据文件这里用的是二进制,每行一个数据即可。 |
|
|
|
hawke 发表于 2015-12-29 09:19 多谢大神!回去试试 |
|
|
|
还是不对啊,是不是读入的txt文件有什么特殊的格式要求啊?modelsim报错fail to open readmem file"xxx.txt"in read mode。我文件就放在工程目录下的。。。
|
|
|
|
搞出来了,是文件路径描述不对,多谢各位大神
|
|
|
|
我建议你看看小梅哥的视频第14讲吧,有这部分的内容非常详细
|
|
|
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
基本FPGA或者树莓派或者其它微处理器(尽量压缩成本且完成项目)DFB激光器稳频
1142 浏览 1 评论
2284 浏览 1 评论
助力AIoT应用:在米尔FPGA开发板上实现Tiny YOLO V4
1176 浏览 0 评论
3421 浏览 1 评论
3059 浏览 0 评论
2292 浏览 58 评论
6144 浏览 113 评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2025-1-24 11:00 , Processed in 0.695245 second(s), Total 83, Slave 66 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号