完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
嗨
我使用vivado 2015.4,我收到了这条消息: [放置30-150] MMCM-BUFG组件对的次优放置。 如果此子设计可接受此子优化条件,则可以使用.xdc文件中的CLOCK_DEDICATED_ROUTE约束将此消息降级为WARNING。 但是,强烈建议不要使用此覆盖。 这些示例可以直接在.xdc文件中使用,以覆盖此时钟规则。 U_6 / U_11 / mmcm_adv_inst(MMCME2_ADV.CLKFBOUT)由clockplacer临时放置在MMCME2_ADV_X0Y1上 U_6 / U_11 / clkf_buf(BUFG.I)由clockplacer临时放置在BUFGCTRL_X0Y16上 上述错误可能与其他连接的实例有关。 以下是一份清单 所有相关的时钟规则及其各自的实例。 时钟规则:rule_mmcm_bufg 状态:失败 规则描述:驱动BUFG的MMCM必须放置在设备的同一半侧(顶部/底部) U_6 / U_11 / mmcm_adv_inst(MMCME2_ADV.CLKOUT0)由clockplacer临时放置在MMCME2_ADV_X0Y1上 U_6 / U_11 / clkout1_buf(BUFG.I)由clockplacer临时放置在BUFGCTRL_X0Y17上 错误:以上也是非法时钟规则 解决方法: 时钟规则:rule_gclkio_mmcm_1load 状态:通过 规则描述:如果CLOCK_DEDICATED_ROUTE = BACKBONE,驱动单个MMCM的IOB必须都在同一个时钟区域 没有设置 U_6 / U_11 / clkin1_buf(IBUF.O)被锁定为IOB_X0Y74 和U_6 / U_11 / mmcm_adv_inst(MMCME2_ADV.CLKIN1)由clockplacer临时放置在MMCME2_ADV_X0Y1上 有人可能知道这条消息的含义是什么? |
|
相关推荐
10个回答
|
|
HI @ 040339970我不知道你使用的确切设备是什么。
请提及它。但是在7系列设备中(但对于virtex系列SSI设备)。 将有32个BUFGCTRL站点 16(BUFGCTRL_X0Y0到BUFGCTRL_X0Y15)将在下半部分16(BUFGCTRL_X0Y16到BUFGCTRL_X0Y32)将在上半部分。错误消息中提到的BUFG被置于BUFGCTRL_X0Y16(上半部分)。 因此推断出MMCM位于下半部分。 ThanksBharath ------------------------------------------------- - --------------------------------------------请标记答案 如果提供的信息可以解决您的疑问/问题,请“接受为解决方案”。给予您认为有用的帖子。感谢.------------------------ -------------------------- ----------- ------------ -------------------- 在原帖中查看解决方案 |
|
|
|
嗨@ 040339970,
驱动BUFG的MMCM必须放置在设备的同一半侧(顶部/底部)。 您可以在xdc文件中使用此命令:set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets U_6 / U_11 / clkfbout] 谢谢,Nupur ----------------------------------------------- --------------------------------------------- Google在发布之前提问 。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(点击星标)。 |
|
|
|
你好@ 040339970
你的XDC文件中的BUFG实例(在错误中提到)有LOC限制吗? 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) |
|
|
|
|
|
|
|
你好@ 040339970
这是架构限制。 MMCM仅在同一半时钟区域内与BUFG站点建立专用连接。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) |
|
|
|
|
|
|
|
HI @ 040339970MMCM“”U_6 / U_11 / mmcm_adv_inst“位于设备的下半部分。:MMCME2_ADV_X0Y1BUFG(U_6 / U_11 / clkf_buf)位于上半部分:BUFGCTRL_X0Y16
尝试对BUFG的L:OC约束到下半部分中任何未占用的BUFG位置(BUFGCTRL_X0Y0到BUFGCTRL_X0Y15) 例如:set_property LOC BUFGCTRL_X0Y15 [get_cells U_6 / U_11 / clkf_buf] ThanksBharath ------------------------------------------------- - --------------------------------------------请标记答案 如果提供的信息可以解决您的疑问/问题,请“接受为解决方案”。给予您认为有用的帖子。感谢.------------------------ -------------------------- ----------- ------------ -------------------- |
|
|
|
|
|
|
|
HI @ 040339970我不知道你使用的确切设备是什么。
请提及它。但是在7系列设备中(但对于virtex系列SSI设备)。 将有32个BUFGCTRL站点 16(BUFGCTRL_X0Y0到BUFGCTRL_X0Y15)将在下半部分16(BUFGCTRL_X0Y16到BUFGCTRL_X0Y32)将在上半部分。错误消息中提到的BUFG被置于BUFGCTRL_X0Y16(上半部分)。 因此推断出MMCM位于下半部分。 ThanksBharath ------------------------------------------------- - --------------------------------------------请标记答案 如果提供的信息可以解决您的疑问/问题,请“接受为解决方案”。给予您认为有用的帖子。感谢.------------------------ -------------------------- ----------- ------------ -------------------- |
|
|
|
谢谢你
|
|
|
|
只有小组成员才能发言,加入小组>>
2370 浏览 7 评论
2786 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2255 浏览 9 评论
3330 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2420 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
743浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
531浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
344浏览 1评论
748浏览 0评论
1948浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-15 00:51 , Processed in 1.242044 second(s), Total 63, Slave 58 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号