完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
大家好,
我最近尝试用选择输入制作简单的n到1多路复用器。 它只是根据选择值转发输出stdlogicvector的一部分。 当我将选择2和宽度10放在下面的代码中时,我在地图报告中得到零门数。 当我把2和32放在一起时,我得到的值不同于零。 有人有点想法吗? 干杯 实体mltplx是 通用( 选择:整数:= 2; width:integer:= 10 ); 港口( 输入:在std_logic_vector中((2 **选择)* width-1 downto 0); sel:在std_logic_vector中(selection-1 downto 0); 输出:out std_logic_vector(width-1 downto 0) ); 结束mltplx; mltplx的建筑拱门是 开始 mux:进程(输入,sel) 变量i:整数; 开始 i:= conv_integer(unsigned(sel)); output 以下为原文 Hi all, I recently tried to make simple n to 1 multiplexer with selection input.It just forward to output one part of input stdlogicvector, based on selection value.When I put selection 2 and width 10 as in code below, I get zero gate count in map report.When I put 2 and 32 I get value different than zero. Anybody has some idea? Cheers entity mltplx is generic( selection : integer:=2; width : integer:=10 ); port( input : in std_logic_vector((2**selection)*width-1 downto 0); sel : in std_logic_vector(selection-1 downto 0); output : out std_logic_vector(width-1 downto 0) );end mltplx;architecture arch of mltplx i***egin mux: process(input, sel) variable i : integer; begin i := conv_integer(unsigned(sel)); output <= input((i+1)*width-1 downto i*width); end process;end arch; |
|
相关推荐
1个回答
|
|
Hilutteur,
你不应该写这样的代码。 您编写的所有代码都将转为tocircuit。 因此,与软件代码不同,您可以在编写HDL时对电路进行评估。 我建议你使用“CASE”。 以上来自于谷歌翻译 以下为原文 Hi lutteur, you shouldn't write the code like this. All the code you wrote will be turned to circuit. So, unlike software codes, you must imagine the circuit when you write HDL. I advice you use "CASE". |
|
|
|
只有小组成员才能发言,加入小组>>
2436 浏览 7 评论
2833 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2303 浏览 9 评论
3383 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2475 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
1478浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
601浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
467浏览 1评论
2018浏览 0评论
741浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2025-1-2 00:58 , Processed in 1.050519 second(s), Total 45, Slave 40 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号