完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
我在vivado 2016.3中看到了以下地方的错误
错误:[放置30-675]支持全局时钟的IO引脚和BUFG对的次优放置。如果此子设计可接受此子优化条件,则可以使用.xdc文件中的CLOCK_DEDICATED_ROUTE约束降级此消息 警告 但是,强烈建议不要使用此覆盖。 这些示例可以直接在.xdc文件中使用,以覆盖此时钟规则。 FPGA_clk_rtc_IBUF_inst / IBUFCTRL_INST(IBUFCTRL.O)被锁定到IOB_X1Y136 fpga_clk_rtc_IBUF_BUFG_inst(BUFGCE.I)由clockplacer临时放置在BUFGCE_X0Y67上 上述错误可能与其他连接的实例有关。 以下是一份清单 所有相关的时钟规则及其各自的实例。 时钟规则:rule_bufgce_bufg_conflict 状态:通过 规则描述:一对中只有2个可用站点中的一个(BUFGCE或BUFGCE_DIV / BUFGCTRL)可以是 同时使用 fpga_clk_rtc_IBUF_BUFG_inst(BUFGCE.O)由clockplacer临时放置在BUFGCE_X0Y67上 解决方案:如果出现以下情况,可以使用两者之间的专用路由路径:(a)具有全局时钟功能的IO(GCIO)位于支持GCIO的站点上(b)BUFG与GCIO位于同一设备组中 销。 必须同时满足上述两个条件,否则可能导致时钟插入延迟时间更长且更不可预测。请查看设备中BUFG和IO的放置情况 以上来自于谷歌翻译 以下为原文 I am seeing the the below place error in vivado 2016.3 ERROR: [Place 30-675] Sub-optimal placement for a global clock-capable IO pin and BUFG pair.If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets fpga_clk_rtc_IBUF_inst/O] >fpga_clk_rtc_IBUF_inst/IBUFCTRL_INST (IBUFCTRL.O) is locked to IOB_X1Y136fpga_clk_rtc_IBUF_BUFG_inst (BUFGCE.I) is provisionally placed by clockplacer on BUFGCE_X0Y67The above error could possibly be related to other connected instances. Following is a list of all the related clock rules and their respective instances.Clock Rule: rule_bufgce_bufg_conflictStatus: PASS Rule Description: Only one of the 2 available sites (BUFGCE or BUFGCE_DIV/BUFGCTRL) in a pair can beused at the same timefpga_clk_rtc_IBUF_BUFG_inst (BUFGCE.O) is provisionally placed by clockplacer on BUFGCE_X0Y67Resolution: A dedicated routing path between the two can be used if: (a) The global clock-capable IO (GCIO) is placed on a GCIO capable site (b) The BUFG is placed in the same bank of the device as the GCIO pin. Both the above conditions must be met at the same time, else it may lead to longer and less predictable clock insertion delays.Please look into the placing of the BUFG and IO in the device |
|
相关推荐
9个回答
|
|
@ anjaneyulu.challa9,
你能分享post opt dcp来调试这个问题吗? --Syed -------------------------------------------------- -------------------------------------------请注意 - 请标记答案 如果提供的信息有用,请“接受为解决方案”。给予您认为有用并回复导向的帖子。感谢Kudos .------------------------ -------------------------------------------------- ------------------- 以上来自于谷歌翻译 以下为原文 @anjaneyulu.challa9, Can you share the post opt dcp to debug the issue? --Syed --------------------------------------------------------------------------------------------- Kindly note- Please mark the Answer as "Accept as solution" if information provided is helpful. Give Kudos to a post which you think is helpful and reply oriented. --------------------------------------------------------------------------------------------- |
|
|
|
嗨,@ anjaneyulu.challa9,你可以参考AR66659 first.https://www.xilinx.com/support/answers/66659.html
-------------------------------------------------- -----------------------不要忘记回答,kudo,并接受为解决方案.------------- -------------------------------------------------- ---------- 以上来自于谷歌翻译 以下为原文 Hi, @anjaneyulu.challa9 , You can refer to AR66659 first. https://www.xilinx.com/support/answers/66659.html------------------------------------------------------------------------- Don't forget to reply, kudo, and accept as solution. ------------------------------------------------------------------------- |
|
|
|
@honghI已经通过了AR。
这里的问题是BUFG和IO都已经在同一个时钟区域,所以AR没有解决这个问题 以上来自于谷歌翻译 以下为原文 @hongh I have already gone through the AR. The problem here is both BUFG and IO are already in the same clock region so the AR do not address this |
|
|
|
嗨,@ anjaneyulu.challa9,您的设计的详细包装信息是什么?
-------------------------------------------------- -----------------------不要忘记回答,kudo,并接受为解决方案.------------- -------------------------------------------------- ---------- 以上来自于谷歌翻译 以下为原文 Hi, @anjaneyulu.challa9 , What's the detailed package info for your design? ------------------------------------------------------------------------- Don't forget to reply, kudo, and accept as solution. ------------------------------------------------------------------------- |
|
|
|
|
|
|
|
@ anjaneyulu.challa9,
@honghmeant完整的设备名称,以便我们可以检查端口和bufg位置。 你不可能分享post opt dcp吗? --Syed -------------------------------------------------- -------------------------------------------请注意 - 请标记答案 如果提供的信息有用,请“接受为解决方案”。给予您认为有用并回复导向的帖子。感谢Kudos .------------------------ -------------------------------------------------- ------------------- 以上来自于谷歌翻译 以下为原文 @anjaneyulu.challa9, @hongh meant the complete device name so that we can check the ports and bufg location. Is it not possible for you to share the post opt dcp? --Syed --------------------------------------------------------------------------------------------- Kindly note- Please mark the Answer as "Accept as solution" if information provided is helpful. Give Kudos to a post which you think is helpful and reply oriented. --------------------------------------------------------------------------------------------- |
|
|
|
@syed我使用的是ZCU 102:xc7vx485tffg1761-2(有效)
以上来自于谷歌翻译 以下为原文 @syed I am using ZCU 102 : xc7vx485tffg1761-2 (active) |
|
|
|
@ anjaneyulu.challa9
xc7vx485tffg1761-2不是ZCU102 ..你能检查一下吗? Forxc7vx485tffg1761-2器件,IOB_X1Y136不是CCIO端口。 -------------------------------------------------- -------------------------------------------请注意 - 请标记答案 如果提供的信息有用,请“接受为解决方案”。给予您认为有用并回复导向的帖子。感谢Kudos .------------------------ -------------------------------------------------- ------------------- 以上来自于谷歌翻译 以下为原文 @anjaneyulu.challa9 xc7vx485tffg1761-2 is not ZCU102.. Can you please check? For xc7vx485tffg1761-2 device, IOB_X1Y136 is not a CCIO port. --------------------------------------------------------------------------------------------- Kindly note- Please mark the Answer as "Accept as solution" if information provided is helpful. Give Kudos to a post which you think is helpful and reply oriented. --------------------------------------------------------------------------------------------- |
|
|
|
对不起,我发布了错误的部件号,它是ZCU 102,部件号是xczu9eg-ffvb1156-2-i-es2(有效)
以上来自于谷歌翻译 以下为原文 Sorry I have posted the wrong part number it is ZCU 102 and part number is xczu9eg-ffvb1156-2-i-es2 (active) |
|
|
|
只有小组成员才能发言,加入小组>>
2511 浏览 7 评论
2880 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2337 浏览 9 评论
3430 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2517 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
2619浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
670浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
534浏览 1评论
821浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2025-3-6 02:17 , Processed in 1.647784 second(s), Total 95, Slave 78 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191