发 帖  
赛灵思
赛灵思
赛灵思
收藏|RSS 经验: 9|组长: 曾12345
  帖子 作者/时间 回复/查看 最后发表
[无满意答案] xadc增益/偏移在sim中全部为零的解决办法? 郭武莱 2020-8-28 31551 郭武莱 08-28 06:11
[无满意答案] MIPI D-PHY V3.1问题的解决办法? 王俊 2020-8-27 21873 王俊 08-27 17:33
[无满意答案] DDR4复位偏差要求是什么? 李猛 2020-8-27 31639 李猛 08-27 17:10
[无满意答案] PCIe资源Kintex7可以只使用一个PCIe Gen 2吗? 贾伟刚 2020-8-27 21131 贾伟刚 08-27 16:42
[无满意答案] 如何查询有关Zynq的DCM? 廖漳彩 2020-8-27 11296 廖漳彩 08-27 16:17
[无满意答案] 带有双千兆以太网控制器的低成本FPGA请问7系列有这样的芯片吗? 唐晓莉 2020-8-27 82036 唐晓莉 08-27 15:59
[无满意答案] 如何查询7系列FPGA中MMCM的最小相位分辨率? 廖漳彩 2020-8-27 31663 廖漳彩 08-27 15:32
[无满意答案] 如何让XMOD与PS端一起工作并在终端中打印值? 庞哲 2020-8-27 71008 庞哲 08-27 15:28
[无满意答案] 使用Zynq PL结构时钟驱动代码没有反应是为什么? 王竹 2020-8-27 22169 王竹 08-27 15:09
[无满意答案] 在UG***中,为DQ / DQS网络和CK寻址/控制指定了偏移限制? 吴樵 2020-8-27 3903 吴樵 08-27 15:00
[无满意答案] 从Virtex 7到13.1 Gbps的连接器所需的MGT信号所需的最佳走线路由? 苏笛笛 2020-8-27 5851 苏笛笛 08-27 13:43
[无满意答案] 怎样才能在合理的偏斜范围内重置每个FF? 刘颖 2020-8-27 31349 刘颖 08-27 11:45
[无满意答案] Nexys DDR 4实现错误SDK的解决办法? 姜雨孜 2020-8-27 1639 姜雨孜 08-27 11:35
[无满意答案] 应该驱动USRCCLKO以启用CFGMCLK输出吗? 刘倩 2020-8-27 72163 刘倩 08-27 10:21
[无满意答案] 当片占用太多时,VDMA MM2S停止怎么解决? 张淑兰 2020-8-27 41238 张淑兰 08-27 10:13
[无满意答案] bramip上是否有扫描输入和扫描输出引脚,或者我应该使用bramcontroller和接口吗? 杨伟 2020-8-27 41471 杨伟 08-27 09:33
[无满意答案] 如何查看ADC是否正确采样了数据? 王桂荣 2020-8-27 83724 王桂荣 08-27 08:34
[无满意答案] 请问早期通电状态下io引脚的状态是什么? 宫素香 2020-8-27 61223 宫素香 08-27 08:31
[无满意答案] 如何与具有两个IO的SRAM具有相同的时钟输出频率? 李朝 2020-8-27 51365 李朝 08-27 07:38
[无满意答案] 为什么我们需要很少的Vccint引脚用于芯片而不仅仅是1个引脚? 刘建国 2020-8-26 11473 刘建国 08-26 15:33
[无满意答案] 每个引脚的最大范围是-300mV到2.1V还是大约0V到1.8V? 杨涛 2020-8-26 11180 杨涛 08-26 15:20
[无满意答案] Arty是否有可能不支持ILA调试? 李丽虹 2020-8-26 21427 李丽虹 08-26 15:20
[无满意答案] 请问如何初始化PLL_WITH_DRP? 廖阿朋 2020-8-26 22375 廖阿朋 08-26 15:13
[无满意答案] 如何将脉冲重新置于FPGA逻辑? 李雨晨 2020-8-26 31394 李雨晨 08-26 15:09
[无满意答案] 难以重命名PicoBlaze程序的解决办法? 王林 2020-8-26 22790 王林 08-26 15:06
[无满意答案] 即使显示rxidle活动,也未检测到SATA OOB COMINIT的解决办法? 李咏祥 2020-8-26 21233 李咏祥 08-26 15:03
[无满意答案] vivado设备视图与包视图相反? 王苑苑 2020-8-26 51764 王苑苑 08-26 14:56
[无满意答案] HR / HP bank中的7系列LVDS输入延迟的解决办法? 李铭冠 2020-8-26 32156 李铭冠 08-26 14:43
[无满意答案] 可以通过微网格设计实现高速数据传输吗? 张立 2020-8-26 51934 张立 08-26 14:35
[无满意答案] 基于KC705和Vivado2016.3的定制Kintex板PCI-express设计? crhm51900928 2020-8-26 41257 crhm51900928 08-26 13:08
关闭

站长推荐 上一条 /6 下一条

返回顶部 返回版块
-

威廉希尔官方网站 社区

HarmonyOS威廉希尔官方网站 社区

RISC-V MCU威廉希尔官方网站 社区

FPGA开发者威廉希尔官方网站 社区

-

OpenHarmony开源社区

OpenHarmony开源社区

-

嵌入式论坛

ARM威廉希尔官方网站 论坛

STM32/STM8威廉希尔官方网站 论坛

嵌入式威廉希尔官方网站 论坛

单片机/MCU论坛

RISC-V威廉希尔官方网站 论坛

瑞芯微Rockchip开发者社区

FPGA|CPLD|ASIC论坛

DSP论坛

-

电路图及DIY

电路设计论坛

DIY及创意

电子元器件论坛

专家问答

-

电源威廉希尔官方网站 论坛

电源威廉希尔官方网站 论坛

无线充电威廉希尔官方网站

-

综合威廉希尔官方网站 与应用

机器人论坛

USB论坛

电机控制

模拟威廉希尔官方网站

音视频威廉希尔官方网站

综合威廉希尔官方网站 交流

上位机软件(C/Python/Java等)

-

无线通信论坛

WIFI威廉希尔官方网站

蓝牙威廉希尔官方网站

天线|RF射频|微波|雷达威廉希尔官方网站

-

EDA设计论坛

PCB设计论坛

DigiPCBA论坛

Protel|AD|DXP论坛

PADS威廉希尔官方网站 论坛

Allegro论坛

multisim论坛

proteus论坛|仿真论坛

KiCad EDA 中文论坛

DFM|可制造性设计论坛

-

测试测量论坛

LabVIEW论坛

Matlab论坛

测试测量威廉希尔官方网站

传感威廉希尔官方网站

-

招聘/交友/外包/交易/杂谈

项目外包

供需及二手交易

工程师杂谈|交友

招聘|求职|工程师职场

-

官方社区

发烧友官方/活动

华秋商城

华秋电路